miércoles, julio 02, 2014

Google Scholar Metrics 2014: una herramienta bibliométrica "low cost"

Acaba de hacerse pública la versión 2014 de Google Scholar Metrics. Este año Google nos ha obligado a cambiar de discurso: nada de sorpresas, continuidad y estabilidad. Casi con puntualidad de relojero suizo, Google acaba de publicar el día 26 de junio de 2014, un mes antes de cuando lo hiciera el año pasado (24 de julio de 2013), su ranking de publicaciones científicas: Google Scholar Metrics (GSM). GSM ha dejado de ser diferente: parece que a partir de ahora, todos los veranos ─bien en el mes de junio, como el año pasado, o en el mes de julio como éste─, dispondremos de los ansiados listados de revistas ordenados por su impacto científico, léase su índice h. Por tanto, GSM se homologa a la competencia (JCR  y SJR) cuya periodicidad, como es bien sabido, es anual.

No podemos más que congratularnos de que la compañía norteamericana haya decidido mantener GSM, un producto gratuito a la par que distinto a los rankings de revistas tradicionales, y que le haya dado este espaldarazo que, por otra parte, podría disipar las dudas y elucubraciones sobre la continuidad de su hermano mayor, Google Scholar. La competencia es sana y los científicos no pueden más que agradecer esta variedad de herramientas de búsqueda y  ordenación de las publicaciones científicas, sobre todo, cuando se ofrecen sin coste alguno.

Normalidad y continuidad presiden esta edición, pues no hay más novedad que la supresión de algunas subcategorías temáticas (se pasa de 313 a 303) en que se clasifican las revistas publicadas en lengua inglesa.  En definitiva; Google se ha limitado a actualizar los datos, por lo que, persisten algunos de los errores y limitaciones señaladas en trabajos previos: la visualización de un limitado número de revistas (100 para las que no son editadas en inglés), la falta de categorización por áreas y disciplinas de las revistas no inglesas y los problemas de normalización (unificación de títulos de revistas, dificultades de enlazado de documentos, problemas en la búsqueda y recuperación de títulos de publicaciones).
Algunos errores de GSM
Como hemos venido haciendo todos los años en el documento que os podéis descargar abajo se analizan las características más significativas de la tercera edición de GSM, publicada en junio 2014, subrayando las novedades, fortalezas y debilidades más importantes. Se presentan asimismo cifras (número de revistas visualizadas,  tipos documentales, idiomas, índice h y mediana máximos y mínimos por idioma, categoría y subcategoría temática) que caracterizan las dimensiones de esta nueva edición y se comparan con las ediciones anteriores.
LEER EL DOCUMENTO COMPLETO 

Martín-Martín, A.;  Ayllón, J.M.; Orduña-Malea, E.; Delgado López-Cózar, E. (2014). . Google Scholar Metrics 2014: una herramienta bibliométrica de bajo coste. EC3 Working Papers, 17 : 30 de junio de 2014

Emilio Delgado López-Cózar
Huétor Vega, 2 de julio de 2014 

No hay comentarios: